Skip to main content

Trinity College Dublin, The University of Dublin

Trinity Menu Trinity Search



You are here Facilities > Cleanroom

Cleanroom

The cleanroom is equipped to produce device structures on wafers up to 150mm in diameter. The specification is from class 100 in the lithography area to class 10000 in the metrology and deposition areas.

  • CleanroomThe lithography bay is equipped with a mask aligner with submicron resolution. This tool also has nano-imprint and backside alignment capability.
  • Masks can be manufactured using a laser mask writer, which has 0.6 micron resolution. This tool can also be used to direct write a pattern to a substrate.
  • Thin film deposition is carried out in a number of tools, including thermal evaporations. Metal deposition is carried out in two e-beam evaporators.
  • Magnetic alloy, oxide, and multi-layer stacks are deposited in a multi-chamber sputter tool, which also incorporates a chamber for e-beam evaporation.
  • Wet etching is carried out in a dedicated wet station equipped with buffered hydrofluoric acid and hot orthophosphoric acid baths. This station includes a deionised water weir and quick dump rinse facility.
  • Dry etching is performed in a high density inductively-coupled plasma etch tool.
  • A nanospec is available to measure film thickness, while a Dektak profilometer can be used to measure step height.
  • Other process tools include a barrel asher, a dicing saw and a chemical-mechanical polisher.

For further information about the facilities, please contact the Central Equipment Facilities Manager, Cathal McAuley at mcaulec AT tcd.ie

Acid / Wet Bench

There are three tanks in this bench. These are used for HF, BOE and hot phosphoric acid processing. These can process samples up to 100 mm in diameter. There are two cascade rinse tanks for HF processing, and a QDR tank for use with the hot phos process.

NOTE - a separate safety induction must be completed before using this bench.

Barrel Asher

This Diener PICO Barrel Asher is a semi-automatic plasma barrel asher which is mainly used for surface pre-treatment and resist (organic) removal. O2 and CF4 are both connected to the tool to offer greater cleaning and surface preparation flexibility.< /br> There is a 40 KHz 200W power supply integrated in the system.

Chemical Mechanical Polisher

This Logitech chemical mechanical polisher is suitable for processing substrates up to 100 mm in diameter. It is used for both planarisation and damascene processing. It can polish a variety of materials including dielectrics, metals and polymers.

Dicing Saw

The Disco DAD 3220 wafer dicer is an automatic wafer dicing tool capable of processing up to 150mm wafers. < /br> It features automatic alignment for device extraction, and has four definable cutting axes. It can process Silicon, MgO and Sapphire wafers and is capable of defining chips below 1 mm2. The tool is mostly used for dicing large wafers to small chips for deposition, but also for final device extraction from a full wafer.

Dry Plasma Etcher

This is a high density Oxford Instruments ICP plasma etch tool configured for dry etching a wide range of materials including silicon, oxide, nitride and so on.

Technical Specs

  • OIPT Plasmalab System100 ICP180 etch tool
  • Load lock system with 200 mm lower electrode
  • 3KW ICP source
  • 600W RF RIE bias power
  • Helium backside cooling with mechanical clamp

Edwards Evaporator

The BOC Edwards Auto 500 electron beam evaporation systems can deposit ultra-pure films of materials with high melting points, and other materials that are difficult to deposit by conventional resistance evaporation.
Very fast deposition rates can be achieved using electron beam evaporation. The Auto 500 is supplied with quartz crystal deposition rate controllers. It has front loading for easy access into the chamber. The ultimate vacuum is 7 × 10-7 mbar.

Laser Mask Writer

This Heidelberg DWL66 maskless lithography system is a direct write tool used for both mask manufacture and direct substrate patterning.

Technical Specification of Equipment

  • Substrates up to 200 x 200 mm2
  • Structures down to 0.6 µm
  • Vector and Raster exposure mode
  • 3D exposure mode
  • Camera system for front side alignment
  • 405 nm laser diode source
  • Optical and air-gauge auto focus

LPCVD Furnace

The ATV low pressure chemical vapour deposition tool is used to deposit various thin films, and to achieve high quality conformal coatings. Specific applications include oxidation, annealing and carbon nanotube deposition. Additional investment will enable silicon, silicon nitride, and silicon dioxide deposition processes to be run on this tool.

Tool specifications

  • Ramp rate 50 °C/min
  • Up to 50 200 mm process wafers
  • Temp capability 1150 °C
  • Low pressure applications

Mask Aligner with Nanoimprint Lithography Module

OAI mask aligner for lithographic patterning. The aligner features a nanoimprint capability.

Specifications:

  • NUV & DUV options (sub-micron resolution)
  • 1-2 um overlay accuracy
  • Front and backside alignment
  • Nanoimprint module integrated with alignment system
  • Si3N4/SiO2 moulds

Resist/ Polymer Spinners

Two spinners are available for resist, polymer, SOG, polyimide, processing. Each spinner is suitable for process samples/substrates down to 1 cm2. Spin speeds are from 500 rpm up to 12,000 rpm, depending on process requirements. Two hotplates are available for post baking the films. Further resist spinning and process capability exists in the AML.

Rapid Thermal Processor

This Heatpulse RTP system is connected to O2 and N2. This is a single wafer processor suitable for 100mm substrates. The ramp up temp is approx. 100 °C/s; ramp down approx 10 °C/s. Annealing and oxidation processes can be run on this system.

Solvent Wet Bench

This is a manually operated bench for all solvent processing. Solvents used include resist developer, resist remover, solvent cleaner. Two heated megasonic baths are also available for enhanced sample cleaning. There is also a cascade rinse tank integrated into the bench.

Sputtering System

The Shamrock tool is a multi-chamber sputter and UHV evaporation system. The Shamrock tool is a multi-chamber sputter and UHV evaporation system. The original Shamrock system found wide use in Seagate research and development centres. Our Shamrock comprises the original plus two additional custom designed chambers.

  • It is capable of processing up to 6 inch wafers.
  • The system is fully automatic and has a maximum capacity of 16 wafers, and can deposit on up to 4 wafers in one process at ambient temperature due to its planetary turntable geometry.
  • Processing several wafers at once allows for high target efficiency while maintaining low growth rate for smoother films.
  • Typical deposition rates are less than 0.05nm/s.

The system currently comprises 3 deposition chambers; Chambers A, B and D with an atmospheric load lock, a high vacuum transfer chamber (Chamber C) and a robotic transfer module, which allows for movement of wafers between chambers under high vacuum.

  • Chamber A of the system has 6 DC sputter guns and an ion gun for substrate cleaning. The flexibility of the system allows for alloys of up to 6 targets to be sputtered with good compositional control.
  • Chamber B has 6 DC sputter guns, 2 RF sputter guns and an ion gun for plasma oxidation.
  • Chamber D contains an electron beam evaporator with four pockets for material evaporation and a low pressure DC sputtering gun for metal deposition. It is fully integrated with the Shamrock system so samples can be processed in this chamber before or after processing in other chambers without breaking vacuum. It also has a facility for high temperature annealing, and will eventually be capable of high temperature magnetic annealing.
  • An in-plane magnetic field can be used during deposition to set the magnetic easy axis of spin valve and tunnel junction samples, or other thin magnetic films.

Base pressures of the system vary from less than 1E Torr (for Chamber A), to 2E Torr (for Chamber D).

Temescal Evaporation System

The FC-2000 is a flexible evaporation system which is equipped with a number of accessories designed to meet CRANN's wide ranging research activities. The system comprises:

  • a 6-pocket electron beam evaporation source, a thermal evaporation source
  • an ion source
  • a substrate infrared heater and liquid nitrogen cooler
  • a process gas controller
  • a fast-cycle load lock